研究者
J-GLOBAL ID:200901011952241095   更新日: 2024年02月16日

坂本 邦博

サカモト クニヒロ | Sakamoto Kunihiro
所属機関・部署:
職名: 招聘研究員
ホームページURL (1件): http://www.aist.go.jp/RESEARCHERDB/cgi-bin/worker_detail.cgi?call=namae&rw_id=K64459424
研究分野 (3件): 電力工学 ,  電子デバイス、電子機器 ,  電気電子材料工学
研究キーワード (5件): パワーエレクトロニクス ,  炭化ケイ素 ,  パワー半導体デバイス ,  LSI CMOS ,  シリコン
競争的資金等の研究課題 (2件):
  • 2007 - 2010 シリコン結晶中への多元素重畳δドーピング層の実現と量子情報処理への応用
  • シリコンLSI技術
論文 (71件):
  • Hiromu Shiomi, Hidenori Kitai, Masatoshi Tsujimura, Yuji Kiuchi, Daisuke Nakata, Shuichi Ono, Kazutoshi Kojima, Kenji Fukuda, Kunihiro Sakamoto, Kimiyohi Yamasaki, et al. Electrical and physical characterizations of the effects of oxynitridation and wet oxidation at the interface of SiO2/4H-SiC(0001) and (000(1)over-bar). JAPANESE JOURNAL OF APPLIED PHYSICS. 2016. 55. 4
  • Kazuhiko Endo, Yuki Ishikawa, Takashi Matsukawa, Yongxun Liu, Shin-ichi O'uchi, Kunihiro Sakamoto, Junichi Tsukada, Hiromi Yamauchi, Meishoku Masahara. Atomic Layer Deposition of SiO2 for the Performance Enhancement of Fin Field Effect Transistors. JAPANESE JOURNAL OF APPLIED PHYSICS. 2013. 52. 11
  • Yongxun Liu, Takahiro Kamei, Takashi Matsukawa, Kazuhiko Endo, Shinichi O'uchi, Junichi Tsukada, Hiromi Yamauchi, Yuki Ishikawa, Tetsuro Hayashida, Kunihiro Sakamoto, et al. Gate Structure Dependence of Variability in Polycrystalline Silicon Fin-Channel Flash Memories. JAPANESE JOURNAL OF APPLIED PHYSICS. 2013. 52. 6
  • Kazuhiko Endo, Yuki Ishikawa, Takashi Matsukawa, Yongxum Liu, Shin-ichi O'uchi, Kunihiro Sakamoto, Junichi Tsukada, Hiromi Yamauchi, Meishoku Masahara. Enhancement of FinFET performance using 25-nm-thin sidewall spacer grown by atomic layer deposition. SOLID-STATE ELECTRONICS. 2012. 74. 13-18
  • Yongxun Liu, Ruofeng Guo, Takahiro Kamei, Takashi Matsukawa, Kazuhiko Endo, Shinichi O'uchi, Junichi Tsukada, Hiromi Yamauchi, Yuki Ishikawa, Tetsuro Hayashida, et al. Experimental Study of Floating-Gate-Type Metal-Oxide-Semiconductor Capacitors with Nanosize Triangular Cross-Sectional Tunnel Areas for Low Operating Voltage Flash Memory Application. JAPANESE JOURNAL OF APPLIED PHYSICS. 2012. 51. 6
もっと見る
MISC (11件):
  • Takashi Matsukawa, Yongxun Liu, Shin-Ichi O'uchi, Kazuhiko Endo, Junichi Tsukada, Hiromi Yamauchi, Yuki Ishikawa, Hiroyuki Ota, Shinji Migita, Yukinori Morita, et al. Decomposition of On-Current Variability of nMOS FinFETs for Prediction Beyond 20 nm. IEEE TRANSACTIONS ON ELECTRON DEVICES. 2012. 59. 8. 2003-2010
  • SAKAI Hideo, O'UCHI Shinichi, MATSUKAWA Takashi, ENDO Kazuhiko, LIU Yongxun, TSUKADA Junichi, ISHIKAWA Yuki, NAKAGAWA Tadashi, SEKIGAWA Toshihiro, KOIKE Hanpei, et al. High-Frequency Precise Characterization of Intrinsic FinFET Channel. IEICE transactions on electronics. 2012. 95. 4. 752-760
  • O'UCHI Shin-ichi, ENDO Kazuhiko, MATSUKAWA Takashi, LIU Yongxun, NAKAGAWA Tadashi, ISHIKAWA Yuki, TSUKADA Junichi, YAMAUCHI Hiromi, SEKIGAWA Toshihiro, KOIKE Hanpei, et al. A 0.7-V Opamp in Scaled Low-Standby-Power FinFET Technology. IEICE transactions on electronics. 2012. 95. 4. 686-695
  • S. O'uchi, K. Endo, M. Maezawa, T. Nakagawa, H. Ota, Y. X. Liu, T. Matsukawa, Y. Ishikawa, J. Tsukada, H. Yamauchi, et al. Cryogenic Operation of Double-Gate FinFET and Demonstration of Analog Circuit at 4.2K. IEEE INTERNATIONAL SOI CONFERENCE. 2012
  • 森貴洋, 安田哲二, 福田浩一, 大内真一, LIU Yongxun, 坂本邦博, 昌原明植, 太田裕之. SOI基板を用いたトンネルFETの作製と評価. 応用物理学関係連合講演会講演予稿集(CD-ROM). 2011. 58th. ROMBUNNO.26P-KD-9
もっと見る
学位 (1件):
  • 工学博士 (東京大学)
所属学会 (3件):
IEEE ,  応用物理学会 ,  プラズマ応用科学会
※ J-GLOBALの研究者情報は、researchmapの登録情報に基づき表示しています。 登録・更新については、こちらをご覧ください。

前のページに戻る