文献
J-GLOBAL ID:201602269386221836   整理番号:16A0974280

ダークシリコンを意識したNoC(ネットワークオンチップ)のためのハイブリッド不活発なSRAMとSTT-RAMバッファ設計【Powered by NICT】

Hybrid Drowsy SRAM and STT-RAM Buffer Designs for Dark-Silicon-Aware NoC
著者 (5件):
資料名:
巻: 24  号: 10  ページ: 3041-3054  発行年: 2016年 
JST資料番号: W0516A  ISSN: 1063-8210  CODEN: ITCOB4  資料種別: 逐次刊行物 (A)
記事区分: 原著論文  発行国: アメリカ合衆国 (USA)  言語: 英語 (EN)
抄録/ポイント:
抄録/ポイント
文献の概要を数百字程度の日本語でまとめたものです。
部分表示の続きは、JDreamⅢ(有料)でご覧頂けます。
J-GLOBALでは書誌(タイトル、著者名等)登載から半年以上経過後に表示されますが、医療系文献の場合はMyJ-GLOBALでのログインが必要です。
Dennardスケーリングの破れは,すべてのトランジスタを電力供給から同時にを防ぎ,暗シリコンの大部分を残した。危機は電力効率の良いコアとメモリアーキテクチャ設計に革新的な研究をもたらした。しかし,全チップ電力消費に影響する主要因子である,ネットワークオンチップ(NoC)を用いた暗黒シリコンの課題に対処するための研究はほとんど検討されていない。本論文では,ネットワーク電力消費者と従来のパワーゲーティング技術の欠点を包括的に調べた。NoCの視点からの暗黒シリコン問題を克服するために,著者らはDimNoC,薄暗いシリコン方式,純粋なSRAMベースNoC緩衝液を置換するために最近の居眠りSRAM設計とスピン移動トルクRAM(STT-RAM)技術をてこ作用を提案した。特に,二種類の新しいハイブリッドバッファアーキテクチャを提案した1)階層的バッファアーキテクチャ,入力バッファを異なる電力状態を持つレベル集合の中に,2)保存バッファアーキテクチャ,居眠りSRAMと異なるバンクにおけるSTT-RAMを組織化すると,STT-RAMの書込みレイテンシを隠蔽するインタリーブ様式でそれらをアクセスする。添加では,筆者らのハイブリッドバッファ設計は居眠りSRAMと不揮発性STT-RAMにおけるパケットを貯蔵ロスレスの方法でによるNoC(ネットワークオンチップ)データ保持機構を可能にした。フロー制御方式と組み合わせて,NoCデータ保持機構は,ネットワーク性能と電力を同時に改善できる。リアル・ワークロードで著者らの実験は,DimNoCは純粋なSRAMベースNoC設計と比較して30.9%ネットワーク省エネルギー化,20.3%のエネルギー遅延積還元,および7.6%ルータ面積減少を達成できることを示した。Copyright 2016 The Institute of Electrical and Electronics Engineers, Inc. All Rights reserved. Translated from English into Japanese by JST【Powered by NICT】
シソーラス用語:
シソーラス用語/準シソーラス用語
文献のテーマを表すキーワードです。
部分表示の続きはJDreamⅢ(有料)でご覧いただけます。
J-GLOBALでは書誌(タイトル、著者名等)登載から半年以上経過後に表示されますが、医療系文献の場合はMyJ-GLOBALでのログインが必要です。

準シソーラス用語:
シソーラス用語/準シソーラス用語
文献のテーマを表すキーワードです。
部分表示の続きはJDreamⅢ(有料)でご覧いただけます。
J-GLOBALでは書誌(タイトル、著者名等)登載から半年以上経過後に表示されますが、医療系文献の場合はMyJ-GLOBALでのログインが必要です。
, 【Automatic Indexing@JST】
分類 (1件):
分類
JSTが定めた文献の分類名称とコードです
半導体集積回路 

前のページに戻る