研究者
J-GLOBAL ID:201801001601426360   更新日: 2024年02月14日

須川 成利

スガワ シゲトシ | Sugawa Shigetoshi
所属機関・部署:
職名: 教授
ホームページURL (1件): https://www.fff.niche.tohoku.ac.jp/
研究分野 (1件): 電子デバイス、電子機器
研究キーワード (4件): 電子工学 ,  半導体 ,  CMOS ,  イメージセンサ
競争的資金等の研究課題 (11件):
  • 1999 - 現在 イメージセンサ, 電子デバイス, 集積回路, 半導体プロセス
  • 2021 - 2026 作物の生理障害の機構解明におけるブレークスルーテクノロジーの開発と検証
  • 2015 - 2018 1光子検出の感度および線形・高飽和性能を有するCMOS撮像素子の創出
  • 2012 - 2015 原子レベル平坦界面トランジスタのゲート絶縁膜リーク電流の高精度統計的解析
  • 2007 - 2008 高感度と広ダイナミックレンジ性能を両立した高性能CMOSイメージセンサ
全件表示
論文 (655件):
  • Tetsuya Goto, Tomoyuki Suwa, Keita Katayama, Shu Nishida, Hiroshi Ikenoue, Shigetoshi Sugawa. Threshold voltage uniformity improvement by introducing charge injection tuning for low-temperature poly-Si thin film transistors with metal/oxide/nitride/oxide/silicon structure. Japanese Journal of Applied Physics. 2024. 63. 2. 02SP51-02SP51
  • Masayoshi Takahashi, Yasuyuki Shirai, Shigetoshi Sugawa. Nanoshell Formation at the Electrically Charged Gas-Water Interface of Collapsing Microbubbles: Insights from Atomic Force Microscopy Imaging. The Journal of Physical Chemistry Letters. 2024. 15. 1. 220-225
  • Y. Sakai, Y. Shiba, T. Inada, T. Goto, T. Suwa, T. Oikawa, A. Hamaya, A. Sutoh, T. Morimoto, Y. Shirai, et al. Visualization and Analysis of Temporal and Steady-State Gas Concentration in Process Chamber Using 70-dB SNR 1,000 fps Absorption Imaging System. IEEE Transactions on Semiconductor Manufacturing. 2023. 36. 4. 515-519
  • Masayoshi Takahashi, Ryo Nakatsuka, Shuzo Kutsuna, Yasuyuki Shirai, Shigetoshi Sugawa. Mineralization of Poly(vinyl alcohol) by Ozone Microbubbles under a Wide Range of pH Conditions. Langmuir. 2023
  • Tetsuya Goto, Tomoyuki Suwa, Keita Katayama, Shu Nishida, Hiroshi, Ikenoue, Shigetoshi Sugawa. Threshold Voltage Control of LTPS TFTs with MONOS Structure. 2023 International Conference on Solid State Devices and Materials. 2023. E-7-02. 245-246
もっと見る
MISC (266件):
  • Koga Saito, Tatsuhiko Suzuki, Hidemi Mitsuda, Takezo Mawaki, Tomoyuki, Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Rihito Kuroda. Impedance Measurement Platform Technology Toward Statistical Evaluation of Semiconductor Devices(半導体素子の統計的評価に向けたインピーダンス計測プラットフォーム技術). 第35回マイクロエレクトロニクス研究会プロシーディング. 2023. 29-31
  • Takafumi Inada, Yushi Sakai, Akihito Suto, Tatsuo Morimoto, Tomoyuki Suwa, Yasuyuki Shirai, Shigetoshi Sugawa, Rihito Kuroda. Measurement of TEMAZ concentration in process chamber by UV absorption method(紫外光吸光法によるプロセスチャンバー内のTEMAZ濃度計測). 第35回マイクロエレクトロニクス研究会プロシーディング. 2023. 29-31
  • 光田薫未, 鈴木達彦, 齊藤宏河, 間脇武蔵, 須川成利, 黒田理人. 抵抗計測プラットフォームを用いた HfOx 膜抵抗変化の統計的計測. 第 84 回応用物理学会秋季学術講演会. 2023. 23a-A303-4. 12-245
  • 鈴木達彦, 齊藤宏河, 光田薫未, 間脇武蔵, 須川成利, 黒田理人. 電流計測プラットフォームを用いた高容量密度トレンチキャパシタのトラップ特性に関する統計的計測. 第 84 回応用物理学会秋季学術講演会. 2023. 23a-A303-3. 12-244
  • 齊藤宏河, 鈴木達彦, 光田薫未, 間脇武蔵, 諏訪智之, 寺本章伸, 須川成利, 黒田理人. インピーダンス計測プラットフォーム技術を用いた機能性薄膜に関する電気的特性の統計的計測. 第 84 回応用物理学会秋季学術講演会. 2023. 23a-A303-1. 12-242
もっと見る
特許 (334件):
  • 容量検出エリアセンサ及び、その容量検出エリアセンサを有する導電パターン検査装置
  • Light-receiving device and method for reading out signal of light-receiving device
  • 光センサ及びその信号読み出し方法並びに固体撮像装置及びその信号読み出し方法
  • 光センサ装置
  • 時分割分光イメージング分析システム及び時分割分光イメージング分析方法
もっと見る
書籍 (8件):
  • The Micro-World Observed by Ultra High-Speed Cameras: We See What You Don’t See
    Springer 2017
  • 写真の辞典
    日本写真学会 2014
  • 画像入力とカメラ
    オーム社 2012
  • 知識ベース
    電子情報通信学会 2011
  • デジタルカメラの最先端技術
    株式会社 技術情報協会 2004
もっと見る
講演・口頭発表等 (45件):
  • 高性能コヒーレント軟X線回折イメージセンサsxCMOSの開発
    (PF研究会 2022)
  • 先端軟X線科学への基幹技術, 軟X線検出CMOSイメージセンサsxCMOSの開発
    (ISSP Workshop 2021 2021)
  • CMOSイメージセンサの感度・飽和・撮影速度・分光感度帯域性能の追究
    (映像情報メディア学会創立70周年記念大会 2020)
  • CMOS イメージセンサの広ダイナミックレンジ・高速・広分光感度性能の追究
    (電気化学会半導体・集積回路技術シンポジウム 2020)
  • 軟X線検出CMOSイメージセンサ
    (第33回日本放射光学会年会 放射光科学合同シンポジウム 2020)
もっと見る
学歴 (3件):
  • 1995 - 1996 東北大学 大学院工学研究科 電子工学専攻博士後期3年の課程
  • 1980 - 1982 東京工業大学 大学院理工学研究科 物理学専攻
  • 1978 - 1982 東京工業大学 理学部 物理学科
学位 (2件):
  • 博士(工学) (東北大学)
  • 修士(理学) (東京工業大学)
経歴 (2件):
  • 1999/09 - 現在 東北大学
  • 1982/04 - 1999/08 キヤノン株式会社
受賞 (13件):
  • 2022/05 - 映像情報メディア学会 第61回(2022年度)丹羽高柳賞論文賞
  • 2022/05 - 国立研究開発法人科学技術振興機構 第47回(令和4年度)井上春成賞 高速度ビデオカメラの開発
  • 2016/11 - International Congress on High Speed Imaging and Photonics The 2016 nac High Speed Imaging Award The development of Ultra High Speed CMOS Image Sensor
  • 2015/06/17 - 公益社団法人発明協会 全国発明表彰日本経済団体連合会会長発明賞
  • 2015/06/10 - Program Committee, 2015 International Image Sensor Workshop 2015 International Image Sensor Workshop Best Poster Award
全件表示
所属学会 (2件):
映像情報メディア学会 ,  The Institute of Electrical and Electronics Engineering (IEEE)
※ J-GLOBALの研究者情報は、researchmapの登録情報に基づき表示しています。 登録・更新については、こちらをご覧ください。

前のページに戻る