Rchr
J-GLOBAL ID:200901038234147051   Update date: Jan. 30, 2024

Samukawa Seiji

サムカワ セイジ | Samukawa Seiji
Affiliation and department:
Job title: Professor
Other affiliations (2):
  • Tohoku University  Advanced Institute for Materials Research   Professor
  • National Institute of Advanced Industrial Science and Technology  Nanoelectronics Laboratories   Fellow
Homepage URL  (2): http://www.ifs.tohoku.ac.jp/samukawa/japanese/index.htmlhttp://www.ifs.tohoku.ac.jp/samukawa/index.html
Research field  (7): Nanobioscience ,  Nanostructure physics ,  Biomedical engineering ,  Electronic devices and equipment ,  Basic plasma science ,  Applied physics - general ,  Thin-film surfaces and interfaces
Research keywords  (9): Atomic Layer Process ,  Bio-nanotechnology ,  Green Nanotechnology ,  ナノデバイス ,  surface science ,  bio ,  atom manupilation ,  beam ,  Plasma
Research theme for competitive and other funds  (21):
  • 2004 - 現在 バイオテクノロジーとナノテクノロジーの融合による革新的デバイス開発
  • 2000 - 現在 Study on Precise Plasma Processes
  • 2000 - 現在 Atom and Molecules Degital Processes
  • 2020 - 2025 High-mobility Semiconductor Devices due to Control of Phonon Field caused by Defect-free Nano-periodic Structures
  • 2021 - 2024 Construction of thin film deposition model in CVD / ALD method considering chemical reaction and interfacial flow phenomenon
Show all
Papers (661):
  • Tomoki Harada, Daisuke Ohori, Kazuhiko Endo, Seiji Samukawa, Tetsuo Ikari, Atsuhiko Fukuyama. Lifetime of photoexcited carriers in space-controlled Si nanopillar/SiGe composite films investigated by a laser heterodyne photothermal displacement method. Journal of Applied Physics. 2023. 133. 12
  • Beibei Ge, Daisuke Ohori, Yi-Ho Chen, Takuya Ozaki, Kazuhiko Endo, Yiming Li, Jenn-Hwan Tarng, Seiji Samukawa. Room-temperature and high-quality HfO2/SiO2 gate stacked film grown by neutral beam enhanced atomic layer deposition. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A. 2022. 40. 2
  • Takahiro Ishihara, Daisuke Ohori, Xuelun Wang, Kazuhiko Endo, Nobuhiro Natori, Daisuke Sato, Yiming Li, Seiji Samukawa. Hydrogen Iodide (HI) Neutral Beam Etching for InGaN/GaN Micro-LED. Proceedings of the IEEE Conference on Nanotechnology. 2022. 2022-July. 48-51
  • Evaluation for Optical and Thermal Properties of Si-nanopillars(NPs)/SiGe Composite Film Intentionally Varied NPs Spacing. 2021. 50. 59-63
  • Daisuke Ohori, Takahiro Sawada, Kenta Sugawara, Masaya Okada, Ken Nakata, Kazutaka Inoue, Daisuke Sato, Seiji Samukawa. Selective atomic layer reaction between GaN and SiN in HBr neutral beam etching. Journal of Vacuum Science & Technology A. 2021. 39. 4. 042601-042601
more...
MISC (134):
  • 紺野太壱, 大堀大介, 日高睦夫, 野田周一, 遠藤和彦, 向井寛人, 向井寛人, 朝永顕成, 朝永顕成, TSAI J.S., et al. Effects of Nb oxide film thickness controlled by neutral beam oxidation on superconducting resonators. 応用物理学会春季学術講演会講演予稿集(CD-ROM). 2022. 69th
  • 紺野太壱, 大堀大介, 日高睦夫, 遠藤和彦, 向井寛人, TSAI J.S., TSAI J.S., 寒川誠二, 寒川誠二, 寒川誠二. Control of Nb Surface Oxide Film by Neutral Beam Processes and Effect on Q Value. 応用物理学会春季学術講演会講演予稿集(CD-ROM). 2021. 68th
  • 紺野太壱, 大堀大介, 日高睦夫, 野田周一, 遠藤和彦, 向井寛人, 朝永顕成, 朝永顕成, TSAI J.S., TSAI J.S., et al. Effects of surface oxide film on Nb electrode controlled by neutral beam on superconducting resonators. 応用物理学会秋季学術講演会講演予稿集(CD-ROM). 2021. 82nd
  • 高橋庸夫, 寒川誠二, 有田正志, 大野武雄, 福地厚, 遠藤和彦, 李遠霖, 瘧師貴幸. Research of Application of Highly Functional Nano Devices Fabricated by Using Nanostructures. 東北大学流体科学研究所共同利用・共同研究拠点流体科学国際研究教育拠点活動報告書. 2021. 2019 (CD-ROM)
  • 紺野太壱, 大堀大介, 日高睦夫, 遠藤和彦, 向井寛人, TSAI J.S., 寒川誠二, 寒川誠二, 寒川誠二. Effect of Nb surface oxide composition by Neutral beam on Q value. 応用物理学会秋季学術講演会講演予稿集(CD-ROM). 2020. 81st
more...
Patents (67):
  • 成膜方法及び熱処理装置
  • 低誘電率膜
  • 遷移金属膜の酸化処理方法および酸化処理装置
  • 成膜方法及び熱処理装置
  • 基板処理装置
more...
Books (3):
  • Intelligent Nanosystems for Energy, Information and Biological Technologies
    Springer 2016
  • Feature Profile Evolution in Plasma Processing Using On-wafer Monitoring System
    Springer 2014
  • 新版 ULSIデバイス・プロセス技術
    コロナ社 2013
Lectures and oral presentations  (614):
  • マイクロLED技術の現状と今後の展開
    (GaN系材料の原子層無損傷加工技術, 応用物理学会 シリコンナノテクノロジー分科会 第220回研究集会 2019)
  • Atomic Layer Etching, Deposition and Modification Processes for Novel Nano-materials and Nano-devices
    (3rd Asia-Pacific Conference on Plasma Physics 2019)
  • Atomic Layer Defect-free Etching for Future sub-10nm Nano-devices
    (2019 International Electron, Devices and Materials Symposium 2019)
  • Creating Green Nanostructures and Nanomaterials for Advanced Energy Nanodevices
    (IEEE Distinguished Lecturer Program (Universiti Malaysia Perlis 2019)
  • Creating Green Nanostructures and Nanomaterials for Advanced Energy Nanodevices
    (IEEE International Microwave, Electron Devices & Solid-State Circuit Symposium (IMESS) 2019 2019)
more...
Education (2):
  • - 1992 Keio University Department of Engineering (Ph. D) Instrumentation Engineering
  • 1977 - 1981 Keio University Department of Engineering Instrumentation Engineering
Professional career (1):
  • Ph.D (Keio University)
Work history (2):
  • 2015/04 - 現在 National Institute of Advanced Industrial Science and Technology Nanoelectronics Laboratories Fellow
  • 2013/04 - 現在 Tohoku University Advanced Institute for Materials Research Professor
Committee career (93):
  • 2021/01 - 現在 IEEE Transactions on Nanotechnology Senior Editor
  • 2020/01 - 現在 IEEE Open Journal of Nanotechnology Co-Editor in Chief
  • 2018/01 - 現在 IEEE Fellow
  • 2015/01 - 現在 IEEE International Electron Device Meeting Program Committee
  • 2013/04 - 現在 IEEE Nanotechnology Materials and Devices Conference International Advisory Committee
Show all
Awards (23):
  • 2019/01 - The Institute of Electrical and Electronics Engineers DLs: Distinguished Lecturers
  • 2019 - IEEE IEEE Distinguished Lecturers 2019 Creating Green Nanostructures and Nanomaterials for Advanced Energy Nanodevices
  • 2018/12 - IEEE International Electron Device Meeting IEEE Fellow
  • 2016/08/25 - IEEE 16th International Conference on Nanotechnology Best Paper Award
  • 2015/11/20 - International Electron Devices and Materials Symposium 2015 International Electron Devices and Materials Symposium 2015 Best Paper Award Miniband Dependence on the Density of Ge/Si Quantum Dots for Solar Cell Application
Show all
Association Membership(s) (11):
IEEE ,  応用物理学会シリコンテクノロジー分科会 ,  物理学会原子衝突協会 ,  電気学会電子衝突断面積専門調査委員会 ,  電気学会専門調査委員会”非平衡プラズマのモデリングと微細加工” ,  米国物理学会 ,  応用物理学会反応性プラズマ国際会議 ,  応用物理学会国際マイクロプロセスコンファレンス論文委員 ,  American Vacuum Society ,  電気学会ドライプロセスシンポジウム ,  応用物理学会プラズマエレクトロニクス分科会
※ Researcher’s information displayed in J-GLOBAL is based on the information registered in researchmap. For details, see here.

Return to Previous Page