Rchr
J-GLOBAL ID:201001062659951481   Update date: Feb. 01, 2024

Kuroda Rihito

クロダ リヒト | Kuroda Rihito
Affiliation and department:
Job title: Professor
Homepage URL  (1): http://www.sgw.most.tohoku.ac.jp/
Research field  (2): Electric/electronic material engineering ,  Electronic devices and equipment
Research keywords  (2): Semiconductor integrated circuits ,  image sensor
Research theme for competitive and other funds  (8):
  • 2021 - 2026 作物の生理障害の機構解明におけるブレークスルーテクノロジーの開発と検証
  • 2019 - 2022 Construction of High-Sensitivity Real-Time Spectroscopic Imaging by Innovative High-Speed Spectroscopy and its Application to Non-Invasive Diagnostics
  • 2017 - 2020 Establishment of innovative small light amount difference image sensor with linear response 100 million electron full well capacity
  • 2015 - 2018 Establishment of a CMOS image sensor with photon countable sensitivity, linear response and high full well capacity
  • 2014 - 2016 Minimization of variation and noise of electrical characteristics of MOS transistors due to atomically flat gate insulator film/Si interface
Show all
Papers (264):
  • Y. Sakai, Y. Shiba, T. Inada, T. Goto, T. Suwa, T. Oikawa, A. Hamaya, A. Sutoh, T. Morimoto, Y. Shirai, et al. Visualization and Analysis of Temporal and Steady-State Gas Concentration in Process Chamber Using 70-dB SNR 1,000 fps Absorption Imaging System. IEEE Transactions on Semiconductor Manufacturing. 2023. 1-1
  • Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Rihito Kuroda, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Yasuyuki Shirai, et al. Adsorption and surface reaction of isopropyl alcohol on SiO2 surfaces. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A. 2022. 40. 5
  • Tetsu Oikawa, Rihito Kuroda, Keigo Takahashi, Yoshinobu Shiba, Yasuyuki Fujihara, Hiroya Shike, Maasa Murata, Chia-Chi Kuo, Yhang Ricardo Sipauba Carvalho da Silva, Tetsuya Goto, et al. A 70-dB SNR High-Speed Global Shutter CMOS Image Sensor for in Situ Fluid Concentration Distribution Measurements. IEEE Transactions on Electron Devices. 2022. 69. 6. 2965-2972
  • Yuki Sugama, Yoshiaki Watanabe, Rihito Kuroda, Masahiro Yamamoto, Tetsuya Goto, Toshiro Yasuda, Hiroshi Hamori, Naoya Kuriyama, Shigetoshi Sugawa. Two High-Precision Proximity Capacitance CMOS Image Sensors with Large Format and High Resolution. SENSORS. 2022. 22. 7. 2770-2770
  • Isao Takayanagi, Rihito Kuroda. HDR CMOS Image Sensors for Automotive Applications. IEEE TRANSACTIONS ON ELECTRON DEVICES. 2022. 69. 6. 2815-2823
more...
MISC (129):
  • 間脇武蔵, 寺本章伸, 石井勝利, 志波良信, 諏訪智之, 東雲秀司, 清水亮, 梅澤好太, 黒田理人, 白井泰雪, et al. Modification of states of copper and copper oxide due to IPA treatment. 電子情報通信学会技術研究報告(Web). 2021. 121. 71(SDM2021 22-29)
  • 秋元暸, 黒田理人, 黒田理人, 間脇武蔵, 須川成利. Statistical Analysis of RTN Behavior on Transistor Structures, Operating Regions, and Carrier Transport Directions. 電子情報通信学会技術研究報告(Web). 2021. 121. 212(SDM2021 44-52)
  • 鴨志田俊太, 鈴木学, 黒田理人, 黒田理人, 須川成利. Noise Reduction in CMOS Image Sensors Using Analog Correlated Multiple Sampling with High Density Capacitors. 映像情報メディア学会技術報告. 2021. 45. 11(IST2021 8-21)
  • 四家寛也, 黒田理人, 黒田理人, 黒田理人, 小林諒太, 村田真麻, 藤原康行, 鈴木学, 原田将真, 柴口拓, et al. A Global Shutter Wide Dynamic Range Soft X-Ray CMOS Image Sensor with 45μm-Thick Backside-Illuminated Pinned Photodiode and Two-Stage LOFIC. 映像情報メディア学会技術報告. 2021. 45. 11(IST2021 8-21)
  • 青山大晃, 内藤完, 中塚聡平, 小川修一, 虻川匡司, 江口豊明, 服部賢, 服部梓, 黒田理人. Si(551)および(15 17 3)表面の構造解析. 日本表面真空学会東北・北海道支部学術講演会講演予稿集. 2021. 2020 (CD-ROM)
more...
Patents (43):
Books (3):
  • 薄膜作製応用ハンドブック
    エヌ・ティー・エス 2020 ISBN:9784860436315
  • Rihito Kuroda and Shigetoshi Sugawa
    Springer International Publishing 2017 ISBN:9783319614915
  • 黒田 理人
    (株)技術情報協会 2017 ISBN:9784861046582
Lectures and oral presentations  (91):
  • A Global Shutter Wide Dynamic Range Soft X-ray CMOS Image Sensor with BSI Pinned Photodiode, Two-stage LOFIC and Voltage Domain Memory Bank
    (次世代画像ビジョンシステム部会定例会(第191回・オンライン) 2021)
  • A High SNR Wide Spectral Response CMOS Image Sensor Technology for Smart Sensing
    (4th International Symposium on Devices, Circuits and Systems 2021)
  • IEDM2020を振り返って
    (応用物理学会シリコンテクノロジー・電子情報通信学会シリコン材料・デバイス研究会, ULSIデバイス・プロセス技術(IEDM2020特集) 2021)
  • 超高速イメージセンサ、紫外域イメージセンサ技術
    (電子情報技術産業協会第4回「新機能イメージングデバイスおよび周辺技術分科会」 2020)
  • 半導体デバイスにおける欠陥評価 ~イメージセンサ・欠陥・プロセス~
    (第34回プラズマ新領域研究会「プラズマプロセスにおける欠陥生成に関する新生面」研究会 2020)
more...
Professional career (2):
  • 博士(工学) (Tohoku University)
  • 修士(工学) (Tohoku University)
Committee career (70):
  • 2021/06 - 現在 映像情報メディア学会東北支部 運営委員
  • 2020/07 - 現在 IEEE Transactions on Electron Devices Associate Editor
  • 2013/04 - 現在 映像情報メディア学会 情報センシング研究会 委員
  • 2020/04 - 2025/03 日本学術振興会 R025先進薄膜界面機能創成委員会 庶務幹事
  • 2021/12 - 2021/12 2021 IEEE International Electron Devices Meeting Courses Chair
Show all
Awards (13):
  • 2021/03 - Activity Achievement Award
  • 2021/02 - Selection Committee of RIEC Award RIEC Award Tohoku University Researcher Development of Wide Spectral Sensitivity Image Sensor Technology and its High Precision Sensing Applications
  • 2020/05 - 19th Intelligent Cosmos Encouragement Award
  • 2020/02 - IS&T International Symposium on Electronic Imaging 2020, Imaging Sensors and Systems 2020 Arnaud Darmont Award for Best Paper
  • 2016/11/09 - International Selection Committee of 2016 nac High Speed Imaging Award The 2016 nac High Speed Imaging Award for their development of an Ultra High Speed CMOS Image Sensor with improved light sensitivity that is capable of capturing 20 million frames per second with a significant reduction in power consumption. This sensor is now commercially employed in the Shi
Show all
Association Membership(s) (3):
The Institute of Electronics, Information and Communication Engineers ,  The Institute of Image Information and Television Engineers ,  IEEE Electron Device Society
※ Researcher’s information displayed in J-GLOBAL is based on the information registered in researchmap. For details, see here.

Return to Previous Page