研究者
J-GLOBAL ID:201001062659951481   更新日: 2024年02月01日

黒田 理人

クロダ リヒト | Kuroda Rihito
所属機関・部署:
職名: 教授
ホームページURL (1件): http://www.sgw.most.tohoku.ac.jp/
研究分野 (2件): 電気電子材料工学 ,  電子デバイス、電子機器
研究キーワード (2件): 半導体集積回路 ,  イメージセンサ
競争的資金等の研究課題 (8件):
  • 2021 - 2026 作物の生理障害の機構解明におけるブレークスルーテクノロジーの開発と検証
  • 2019 - 2022 革新的高速分光による高感度リアルタイム分光イメージングの構築と非侵襲診断への展開
  • 2017 - 2020 飽和電荷数1億個超・線形応答を有する革新的な微小光量差検出イメージセンサの創出
  • 2015 - 2018 1光子検出の感度および線形・高飽和性能を有するCMOS撮像素子の創出
  • 2014 - 2016 原子レベル平坦界面トランジスタによる電気的特性ばらつき・ノイズの極小化
全件表示
論文 (264件):
  • Y. Sakai, Y. Shiba, T. Inada, T. Goto, T. Suwa, T. Oikawa, A. Hamaya, A. Sutoh, T. Morimoto, Y. Shirai, et al. Visualization and Analysis of Temporal and Steady-State Gas Concentration in Process Chamber Using 70-dB SNR 1,000 fps Absorption Imaging System. IEEE Transactions on Semiconductor Manufacturing. 2023. 1-1
  • Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Rihito Kuroda, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Yasuyuki Shirai, et al. Adsorption and surface reaction of isopropyl alcohol on SiO2 surfaces. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A. 2022. 40. 5
  • Tetsu Oikawa, Rihito Kuroda, Keigo Takahashi, Yoshinobu Shiba, Yasuyuki Fujihara, Hiroya Shike, Maasa Murata, Chia-Chi Kuo, Yhang Ricardo Sipauba Carvalho da Silva, Tetsuya Goto, et al. A 70-dB SNR High-Speed Global Shutter CMOS Image Sensor for in Situ Fluid Concentration Distribution Measurements. IEEE Transactions on Electron Devices. 2022. 69. 6. 2965-2972
  • Yuki Sugama, Yoshiaki Watanabe, Rihito Kuroda, Masahiro Yamamoto, Tetsuya Goto, Toshiro Yasuda, Hiroshi Hamori, Naoya Kuriyama, Shigetoshi Sugawa. Two High-Precision Proximity Capacitance CMOS Image Sensors with Large Format and High Resolution. SENSORS. 2022. 22. 7. 2770-2770
  • Isao Takayanagi, Rihito Kuroda. HDR CMOS Image Sensors for Automotive Applications. IEEE TRANSACTIONS ON ELECTRON DEVICES. 2022. 69. 6. 2815-2823
もっと見る
MISC (129件):
  • 間脇武蔵, 寺本章伸, 石井勝利, 志波良信, 諏訪智之, 東雲秀司, 清水亮, 梅澤好太, 黒田理人, 白井泰雪, et al. IPAを用いた銅・酸化銅上の表面改質. 電子情報通信学会技術研究報告(Web). 2021. 121. 71(SDM2021 22-29)
  • 秋元暸, 黒田理人, 黒田理人, 間脇武蔵, 須川成利. トランジスタ構造・動作領域・キャリア走行方向によるRTN挙動の統計的解析. 電子情報通信学会技術研究報告(Web). 2021. 121. 212(SDM2021 44-52)
  • 鴨志田俊太, 鈴木学, 黒田理人, 黒田理人, 須川成利. 高密度容量を用いたアナログ相関多重サンプリングによるCMOSイメージセンサのノイズ低減. 映像情報メディア学会技術報告. 2021. 45. 11(IST2021 8-21)
  • 四家寛也, 黒田理人, 黒田理人, 黒田理人, 小林諒太, 村田真麻, 藤原康行, 鈴木学, 原田将真, 柴口拓, et al. 45μm厚裏面照射型フォトダイオード・2段LOFICを有する広ダイナミックレンジ軟X線検出グローバルシャッタCMOSイメージセンサ. 映像情報メディア学会技術報告. 2021. 45. 11(IST2021 8-21)
  • 青山大晃, 内藤完, 中塚聡平, 小川修一, 虻川匡司, 江口豊明, 服部賢, 服部梓, 黒田理人. Si(551)および(15 17 3)表面の構造解析. 日本表面真空学会東北・北海道支部学術講演会講演予稿集. 2021. 2020 (CD-ROM)
もっと見る
特許 (43件):
書籍 (3件):
  • 薄膜作製応用ハンドブック
    エヌ・ティー・エス 2020 ISBN:9784860436315
  • Rihito Kuroda and Shigetoshi Sugawa
    Springer International Publishing 2017 ISBN:9783319614915
  • 黒田 理人
    (株)技術情報協会 2017 ISBN:9784861046582
講演・口頭発表等 (91件):
  • A Global Shutter Wide Dynamic Range Soft X-ray CMOS Image Sensor with BSI Pinned Photodiode, Two-stage LOFIC and Voltage Domain Memory Bank
    (次世代画像ビジョンシステム部会定例会(第191回・オンライン) 2021)
  • A High SNR Wide Spectral Response CMOS Image Sensor Technology for Smart Sensing
    (4th International Symposium on Devices, Circuits and Systems 2021)
  • IEDM2020を振り返って
    (応用物理学会シリコンテクノロジー・電子情報通信学会シリコン材料・デバイス研究会, ULSIデバイス・プロセス技術(IEDM2020特集) 2021)
  • 超高速イメージセンサ、紫外域イメージセンサ技術
    (電子情報技術産業協会第4回「新機能イメージングデバイスおよび周辺技術分科会」 2020)
  • 半導体デバイスにおける欠陥評価 ~イメージセンサ・欠陥・プロセス~
    (第34回プラズマ新領域研究会「プラズマプロセスにおける欠陥生成に関する新生面」研究会 2020)
もっと見る
学位 (2件):
  • 博士(工学) (東北大学)
  • 修士(工学) (東北大学)
委員歴 (70件):
  • 2021/06 - 現在 映像情報メディア学会東北支部 運営委員
  • 2020/07 - 現在 IEEE Transactions on Electron Devices Associate Editor
  • 2013/04 - 現在 映像情報メディア学会 情報センシング研究会 委員
  • 2020/04 - 2025/03 日本学術振興会 R025先進薄膜界面機能創成委員会 庶務幹事
  • 2021/12 - 2021/12 2021 IEEE International Electron Devices Meeting Courses Chair
全件表示
受賞 (13件):
  • 2021/03 - 電子情報通信学会エレクトロニクスソサイエティ 電子情報通信学会エレクトロニクスソサイエティ活動功労賞 技術渉外幹事としての貢献
  • 2021/02 - RIEC Award授賞委員会 RIEC Award 東北大学研究者賞 広光波長帯域イメージセンサ技術の創出と高精度センシング応用
  • 2020/05 - 公益財団法人インテリジェント・コスモス学術振興財団 第19回インテリジェント・コスモス奨励賞 SNR70dB超・広光波長帯域イメージセンサの創出と高精度センシング応用
  • 2020/02 - IS&T International Symposium on Electronic Imaging 2020, Imaging Sensors and Systems 2020 Arnaud Darmont Award for Best Paper
  • 2016/11/09 - International Selection Committee of 2016 nac High Speed Imaging Award The 2016 nac High Speed Imaging Award for their development of an Ultra High Speed CMOS Image Sensor with improved light sensitivity that is capable of capturing 20 million frames per second with a significant reduction in power consumption. This sensor is now commercially employed in the Shi
全件表示
所属学会 (3件):
電子情報通信学会 ,  映像情報メディア学会 ,  IEEE Electron Device Society
※ J-GLOBALの研究者情報は、researchmapの登録情報に基づき表示しています。 登録・更新については、こちらをご覧ください。

前のページに戻る