文献
J-GLOBAL ID:201802234885725014   整理番号:18A0516823

STT MRAMベースLLCsのための適応3T 3MTJメモリセルの設計【Powered by NICT】

An Adaptive 3T-3MTJ Memory Cell Design for STT-MRAM-Based LLCs
著者 (9件):
資料名:
巻: 26  号:ページ: 484-495  発行年: 2018年 
JST資料番号: W0516A  ISSN: 1063-8210  CODEN: ITCOB4  資料種別: 逐次刊行物 (A)
記事区分: 原著論文  発行国: アメリカ合衆国 (USA)  言語: 英語 (EN)
抄録/ポイント:
抄録/ポイント
文献の概要を数百字程度の日本語でまとめたものです。
部分表示の続きは、JDreamⅢ(有料)でご覧頂けます。
J-GLOBALでは書誌(タイトル、著者名等)登載から半年以上経過後に表示されますが、医療系文献の場合はMyJ-GLOBALでのログインが必要です。
STT-MRAM技術は,高密度,低待機電力,不揮発性のために将来のオンチップキャッシュメモリのための有望な候補である。技術ノードスケール,特に40nm技術ノードではSTT-MRAMセル設計は,低電力消費,高アクセス性能,及び望ましい信頼性にアプローチするための重要な課題となっている。従来1T1磁気トンネル接合(MTJ)と2T 2MTJセル設計は,これらの課題を効果的に解決できない。本論文では,先進垂直MTJ(p MTJ)技術を用いた新しい3T 3MTJセル構造を提案した。三MTJによる2ビットの情報を蓄えることができる。示差センシング技術は2T 2MTJ設計高速最上位ビットを読み出すのに用いることができる。同一細胞中の2ビットの検出遅延がある1T1MTJセル設計のセンシング潜時とほぼ同じであった。3T 3MTJ細胞は2T 2MTJと1T1MTJ細胞の両方の利点を持つことができる。回路レベルシミュレーションは,提案した3T 3MTJセル構造は前1T1MTJと2T 2MTJ細胞構造と比較して貯蔵密度,アクセス性能,およびエネルギー消費の間の望ましいトレードオフを達成できることを示した。3T 3MTJセル構造に基づく新しい適応キャッシュ設計,異なるアプリケーションからの様々なメモリアクセス要求を満たすためのさまざまなモードで動作することを提案した。アーキテクチャレベルシミュレーションは,提案したキャッシュ設計の有効性を検証した。Copyright 2018 The Institute of Electrical and Electronics Engineers, Inc. All Rights reserved. Translated from English into Japanese by JST【Powered by NICT】
シソーラス用語:
シソーラス用語/準シソーラス用語
文献のテーマを表すキーワードです。
部分表示の続きはJDreamⅢ(有料)でご覧いただけます。
J-GLOBALでは書誌(タイトル、著者名等)登載から半年以上経過後に表示されますが、医療系文献の場合はMyJ-GLOBALでのログインが必要です。

準シソーラス用語:
シソーラス用語/準シソーラス用語
文献のテーマを表すキーワードです。
部分表示の続きはJDreamⅢ(有料)でご覧いただけます。
J-GLOBALでは書誌(タイトル、著者名等)登載から半年以上経過後に表示されますが、医療系文献の場合はMyJ-GLOBALでのログインが必要です。
, 【Automatic Indexing@JST】
分類 (1件):
分類
JSTが定めた文献の分類名称とコードです
半導体集積回路 
タイトルに関連する用語 (4件):
タイトルに関連する用語
J-GLOBALで独自に切り出した文献タイトルの用語をもとにしたキーワードです

前のページに戻る