Rchr
J-GLOBAL ID:200901047142490654   Update date: Apr. 18, 2024

Suwa Tomoyuki

スワ トモユキ | Suwa Tomoyuki
Affiliation and department:
Homepage URL  (2): http://db.tohoku.ac.jp/whois/detail/a62a05f94df50aa2f8207b4ab325399e.htmlhttp://db.tohoku.ac.jp/whois/e_detail/a62a05f94df50aa2f8207b4ab325399e.html
Research field  (3): Electric/electronic material engineering ,  Thin-film surfaces and interfaces ,  Electronic devices and equipment
Research keywords  (1): 電子デバイス、半導体、表面界面物性、計測技術
Research theme for competitive and other funds  (4):
  • 2022 - 2025 ポリイミド基板上に集積した多数TFTの自己整合的な特性ばらつき均一化技術の確立
  • 2010 - 2015 Study on fabrication process of 3-D structured MOS transistor having atomically flat gate insulator/Si interface
  • 2007 - 2009 Determination of Insulator/Si Interface Structure by Extremely Sensitive and Highly Resolved Interfacial Analyses
  • 2006 - 2007 高品質・高信頼性絶縁膜の形成プロセスに関する研究
Papers (83):
  • Tetsuya Goto, Tomoyuki Suwa, Keita Katayama, Shu Nishida, Hiroshi Ikenoue, Shigetoshi Sugawa. Threshold voltage uniformity improvement by introducing charge injection tuning for low-temperature poly-Si thin film transistors with metal/oxide/nitride/oxide/silicon structure. Japanese Journal of Applied Physics. 2024. 63. 2. 02SP51-02SP51
  • Y. Sakai, Y. Shiba, T. Inada, T. Goto, T. Suwa, T. Oikawa, A. Hamaya, A. Sutoh, T. Morimoto, Y. Shirai, et al. Visualization and Analysis of Temporal and Steady-State Gas Concentration in Process Chamber Using 70-dB SNR 1,000 fps Absorption Imaging System. IEEE Transactions on Semiconductor Manufacturing. 2023. 1-1
  • Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Rihito Kuroda, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Yasuyuki Shirai, et al. Adsorption and surface reaction of isopropyl alcohol on SiO2 surfaces. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A. 2022. 40. 5
  • Tetsu Oikawa, Rihito Kuroda, Keigo Takahashi, Yoshinobu Shiba, Yasuyuki Fujihara, Hiroya Shike, Maasa Murata, Chia-Chi Kuo, Yhang Ricardo Sipauba Carvalho da Silva, Tetsuya Goto, et al. A 70-dB SNR High-Speed Global Shutter CMOS Image Sensor for in Situ Fluid Concentration Distribution Measurements. IEEE TRANSACTIONS ON ELECTRON DEVICES. 2022. 69. 6. 2965-2972
  • Koga Saito, Hayato Suzuki, Hyeonwoo Park, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa. A high-precision current measurement platform applied for statistical measurement of discharge current transient spectroscopy of traps in SiN dielectrics. Japanese Journal of Applied Physics. 2021. 60. 8. 086501-086501
more...
MISC (99):
  • 後藤哲也, 後藤哲也, 諏訪智之, 諏訪智之, 片山慶太, 西田脩, 池上浩, 須川成利. Threshold Voltage Control of MONOS-Type LTPS TFTs. 応用物理学会秋季学術講演会講演予稿集(CD-ROM). 2023. 84th
  • Tetsu Oikawa, Rihito Kuroda, Keigo Takahashi, Yoshinobu Shiba, Yasuyuki Fujihara, Hiroya Shike, Maasa Murata, Chia-Chi Kuo, Yhang Ricardo Sipauba Carvalho da Silva, Tetsuya Goto, Tomoyuki Suwa, Tatsuo Morimoto, Yasuyuki Shirai, Masaaki Nagase, Nobukazu Ikeda, Shigetoshi Sugawa. A 1000fps High SNR Voltage-domain Global Shutter CMOS Image Sensor with Two-stage LOFIC for In-Situ Fluid Concentration Distribution Measurements. International Image Sensor Workshop. 2021. 258-261
  • Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Rihito Kuroda, Yasuyuki Shirai, Shigetoshi Sugawa. Analysis of Reaction and Decomposition of Isopropyl Alcohol on Copper and Copper Oxide Surfaces Toward Area-selective Processes. 5th Area-Selective Deposition Workshop. 2021. 1
  • 間脇武蔵, 寺本章伸, 石井勝利, 志波良信, 諏訪智之, 東雲秀司, 清水亮, 梅澤好太, 黒田理人, 白井泰雪, et al. Modification of states of copper and copper oxide due to IPA treatment. 電子情報通信学会技術研究報告(Web). 2021. 121. 71(SDM2021 22-29)
  • Operation Principle and Structure of Normally-off Floating Gate GaN HEMT with Injection Gate. 2020. 119. 408. 55-58
more...
Patents (13):
Lectures and oral presentations  (2):
  • [1] 成膜工程と連動した基板表面処理と表面イニシャライズ
    (CVD反応分科会第34回シンポジウム(公益社団法人化学工学会) 2021)
  • [2] 微細化に依らないMOSトランジスタの性能向上へのアプローチ
    (SPring-8利用推進協議会 次世代先端デバイス研究会(第3回) 2016)
Education (4):
  • 2003 - 2006 東北大学大学院 工学研究科博士課程後期3年の課程(電子工学専攻)
  • 2001 - 2003 東北大学大学院 工学研究科博士課程前期2年の課程(電子工学専攻)
  • 1997 - 2001 Tohoku University
  • - 1996 1996年 3月 栃木県立栃木高等学校
Professional career (1):
  • Ph. D (Tohoku University)
Work history (3):
  • 2018/02 - 現在 Tohoku University New Industry Creation Hatchery Center
  • 2007/04 - 2018/01 Tohoku University New Industry Creation Hatchery Center
  • 2006/04 - 2007/03 Tohoku University New Industry Creation Hatchery Center
Committee career (3):
  • 2022/06 - 現在 電子情報通信学会 シリコン材料・デバイス研究会 幹事
  • 2016/01 - 現在 応用物理学会 薄膜・表面物理分科会、シリコンテクノロジー分科会 電子デバイス界面テクノロジー研究会 実行・プログラム委員
  • 2020/06 - 2022/05 電子情報通信学会 シリコン材料・デバイス研究会 幹事補佐
Awards (2):
  • 2014/09 - 36th JSAP Outstanding Paper Award Chemical Structure of Interfacial Transition Layer Formed on Si(100) and Its Dependence on Oxidation Temperature, Annealing in Forming Gas, and Difference in Oxidizing Species
  • 2008/10 - 日本真空協会 第33回熊谷記念真空科学論文賞 ラジカル窒化シリコン酸窒化膜における窒素プロファイルのX線光電子分光分析による評価
※ Researcher’s information displayed in J-GLOBAL is based on the information registered in researchmap. For details, see here.

Return to Previous Page